www.delorie.com/archives/browse.cgi   search  
Mail Archives: geda-user/2012/10/24/20:27:40

X-Authentication-Warning: delorie.com: mail set sender to geda-user-bounces using -f
X-Recipient: geda-user AT delorie DOT com
Message-ID: <50888773.5020403@icarus.com>
Date: Wed, 24 Oct 2012 17:27:31 -0700
From: Stephen Williams <steve AT icarus DOT com>
User-Agent: Mozilla/5.0 (X11; Linux x86_64; rv:15.0) Gecko/20120825 Thunderbird/15.0
MIME-Version: 1.0
To: geda-user AT delorie DOT com
Subject: Re: [geda-user] FPGA / CPLD development with Linux
References: <1350863030 DOT 93187 DOT YahooMailNeo AT web121004 DOT mail DOT ne1 DOT yahoo DOT com> <k64ffs$653$1 AT ger DOT gmane DOT org>
In-Reply-To: <k64ffs$653$1@ger.gmane.org>
X-Enigmail-Version: 1.4.4
Reply-To: geda-user AT delorie DOT com

-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA1

On 10/22/2012 02:57 PM, Kai-Martin Knaak wrote:
> I don't know. Since we are locatd in europe, we go the VHDL way
> ;-)

For the record, I've been doing some sponsored work to add VHDL
to Icarus Verilog. It's pretty basic at this point, but the end
goal is mixed SystemVerilog/VHDL in Icarus Verilog. Woo hoo! (*whew*)


- -- 
Steve Williams                "The woods are lovely, dark and deep.
steve at icarus.com           But I have promises to keep,
http://www.icarus.com         and lines to code before I sleep,
http://www.picturel.com       And lines to code before I sleep."
-----BEGIN PGP SIGNATURE-----
Version: GnuPG v2.0.16 (GNU/Linux)
Comment: Using GnuPG with Mozilla - http://www.enigmail.net/

iEYEARECAAYFAlCIh3MACgkQrPt1Sc2b3il0KQCfdMVKqXJ/EghXbLZOcxSP3G63
LXgAnRIceTJ8NgSwXds32iDybypVpgPC
=/Dmn
-----END PGP SIGNATURE-----

- Raw text -


  webmaster     delorie software   privacy  
  Copyright © 2019   by DJ Delorie     Updated Jul 2019