www.delorie.com/archives/browse.cgi   search  
Mail Archives: geda-user/2013/08/27/08:02:13

X-Authentication-Warning: delorie.com: mail set sender to geda-user-bounces using -f
X-Recipient: geda-user AT delorie DOT com
DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed;
d=gmail.com; s=20120113;
h=mime-version:in-reply-to:references:date:message-id:subject:from:to
:content-type;
bh=OaaJeeC/XsILfL7RMgxWumSda0te3SjGJ3HEpWuT64U=;
b=aUMhb7eYWTtaMdP9SzKQVj/aQoYV3plLRqvhXyyfD6dVUp1FRY2otbVrxlAmMZFpJB
gGSty+Tk4yXUcQiRGsiBaeUKzt/+0Bgoo5TamqtVbn/vZTMAkQvqWFjhRCggKISslx6+
XPltvJzR8uJMKbZ3fA+WPoeGTsBk5OU8Q5KqyAcz/zgBYs8lljEcDON8w/qIHicZVQgV
et1D1RRygx0FRG3ebXKpZCwHXahlYCAzLOlgq94CiEIk1c8jF5+RD0OnbOVRmW8sws1d
mcEnNRcJW9UDTYoEFkcUUoMzg3FzRqssEWp2LbhzW7xa0+oYIlmGevEp/RFNQa59O9lm
m1Rg==
MIME-Version: 1.0
X-Received: by 10.52.73.162 with SMTP id m2mr6608290vdv.24.1377604894525; Tue,
27 Aug 2013 05:01:34 -0700 (PDT)
In-Reply-To: <521C7A68.1090900@iae.nl>
References: <521B22D8 DOT 3030707 AT iae DOT nl>
<CAMvDHVCZm+dYkFrbWoBMbnGvEv_nxr_OG+J3HM5EShhtd6kDBQ AT mail DOT gmail DOT com>
<521C6317 DOT 7070605 AT iae DOT nl>
<CAMvDHVCSRjqYw_wm7vO+qbX+bz373S=cvpN2zJ6_ZUC+WdL1bw AT mail DOT gmail DOT com>
<521C7A68 DOT 1090900 AT iae DOT nl>
Date: Tue, 27 Aug 2013 16:01:34 +0400
Message-ID: <CAMvDHVD-CK77EbE7-LQUqQS-u0LJquX2U+3xAyr-J7ur8ikF4Q@mail.gmail.com>
Subject: Re: [geda-user] Tutorial: VHDL with gEDA
From: Vladimir Zhbanov <vzhbanov AT gmail DOT com>
To: geda-user AT delorie DOT com
Reply-To: geda-user AT delorie DOT com
Errors-To: nobody AT delorie DOT com
X-Mailing-List: geda-user AT delorie DOT com
X-Unsubscribes-To: listserv AT delorie DOT com

2013/8/27, myken <myken AT iae DOT nl>:
...
> Absolutely clear. I understand your point. Now my question is, is the
> gnetlist vhdl backend incorrect? Because it translates your example as.

I don't think so. It seems to be old and incomplete since it uses
non-standard attribute values and there is no bus support. It is
not well documented either. (But I hope your tutorial could fill
this gap.)

> ENTITY xor IS
>      PORT (
>          input_port : OUT Std_Logic);
> END xor;
>
> As a result the XOR will not work in vhdl.

First I should specify that I don't use vhdl myself.
However, I followed your tutorial without modifying sources by
your Perl script, and it gave me the result as follows:

ENTITY xor1 IS
    PORT (
        Bi : in Std_Logic;
        Ai : in Std_Logic;
        Qo : out Std_Logic);
END xor1;

Is it right? (The result is compiled by ghdl without errors.)

I also noticed that you don't set the pinseq attributes but AFAIK
gnetlist uses them in its hidden job.

- Raw text -


  webmaster     delorie software   privacy  
  Copyright © 2019   by DJ Delorie     Updated Jul 2019